生成vcd格式波形文件
在testbench加上这段代码initial begin
$dumpfile("testbench.vcd");
$dumpvars(0,testbench);
end
在终端下执行 vcs -f adder.f -debug_all -R +vcd+vcdpluson
xxx.f文件里放着设计的所有文件路径,包括testbench。如果testbench或者rtl中有systemverilog文件,加个-sverilog选项。 vcs -f -sverilog adder.f -debug_all -R +vcd+vcdpluson
打开Verdi
verdi &
Verdi可以直接吃.vcd格式的波形把它自动转化成.fsdb格式波形。然后就可以愉快的debug了。